Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Параллельная запись и формирование строба

Пт ноя 24, 2017 00:16:20

Требуется построить схему записи числа в регистр в параллельном виде и выдаче строба готовности результата длительностью 1 такт. Подскажите пожалуйста каким образом можно в процессе загрузить число в регистр параллельно? А для формирования строба готовности нужно использовать задержку последнего разряда сигнала на 1 такт:
signal data_valid : std_logic; -- сигнал длительностью много тактов
signal data_valid_d : std_logic;
signal strob : std_logic;

process (clk) begin
if rising_edge(clk) then
data_valid_d <= data_valid;-- задержка сигнала на один такт
end if;
end process;

strob <= data_valid and (not data_valid_d);

Re: Параллельная запись и формирование строба

Пт ноя 24, 2017 09:57:10

https://www.youtube.com/watch?v=s9wNDM2l6L0
Ответить