Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: FPGA: Altera Xilinx - что выбрать?

Вс авг 29, 2010 06:50:20

ISE WebPack - это и есть урезанная версия. Не знаю как сейчас, давно не переустанавливал, но когда-то была опция скачать все хором полтора гига или скачать небольшой инсталлятор и он докачает все остальное по необходимости. Мне второй вариант не понравился - чуть ли не всю ночь устанавливался, да так и не установился. Потому я теперь всегда скачиваю полный пакет и на всякий случай он у меня на винте валяется: 1645M июл 25 2007 WebPACK_SFD_92i.zip - старенькая, еще WebPack, не Design Suite, который у меня теперь есть на DVD.

FPGA: Altera Xilinx - что выбрать?

Ср сен 01, 2010 17:36:10

Скажите, а не существует ли универсальной PCI-карточки с ПЛИС, чтобы пользователь сам мог её запрограммировать под что угодно и она имела бы доступ к ресурсам PC (DMA, IRQ и т.д.), а сзади же можно подключить шлейф с разветвителем под любые нужды?

Re: FPGA: Altera Xilinx - что выбрать?

Ср сен 01, 2010 19:11:25

Вообще то эта группа изделий называется средствами разработки и их довольно много, то, что Вы описали с ними можно делать, но таких, чтобы были в виде PCI карты- мне кажется таких нет.

Re: FPGA: Altera Xilinx - что выбрать?

Ср сен 01, 2010 19:53:06

Paguo-86PK писал(а):...а не существует ли универсальной PCI-карточки с ПЛИС, чтобы пользователь сам мог её запрограммировать под что угодно и она имела бы доступ к ресурсам PC (DMA, IRQ и т.д.), а сзади же можно подключить шлейф с разветвителем под любые нужды?

Есть системы сбора и обработки данных, с плисиной на борту. Но боюсь они будут Вам совсем не по карману.

FPGA: Altera Xilinx - что выбрать?

Чт сен 02, 2010 00:27:44

Хорошо, перефразирую вопрос.
Вот тут есть готовая тест-плата, которую я недавно заказал.
Но глядя на неё, видно, что практически любой радиолюбитель может и сам такую состряпать.
Так вот я о том же.
Нету ли в сети PCI-карт от частных умельцев за реальную цену?
Можно и без супер наворотов.

Re: FPGA: Altera Xilinx - что выбрать?

Чт сен 02, 2010 06:37:14

Гляньте сколько стоит решения у произодителя. Ядро PCI (и других интерфейсов то же)- удовольствие не для бедных. Поищите книгу М. Гука "Интерфейсы ПК" (или как-то так).

Re: FPGA: Altera Xilinx - что выбрать?

Чт сен 02, 2010 12:47:42

Подобных фирменных плат довольно много, но и стоят они весьма прилично. А вот любительских -- как-то не встречал. Можно, конечно, спроектировать самому, но изготавливать всё равно придётся отдать на завод: слишком малый шаг между дорожками, слишком большое число выводов, чтобы всё это вручную рисовать-паять.

Пы.Сы. Вот, например, весьма старая плата: http://www.terraelectronica.ru/catalog_info.php?ID=1037&CODE=136151&Name=ADS-XLX-SP3-EVL1500&Razdel=%CE%F2%EB%E0%E4%EE%F7%ED%FB%E5%20%E8%20%EE%F6%E5%ED%EE%F7%ED%FB%E5%20%EF%EB%E0%F2%FB%20%E8%20%ED%E0%E1%EE%F0%FB%20%E4%EB%FF%20%F1%E5%EC%E5%E9%F1%F2%E2%E0%20Spartan-3%28E%29&TableName=class_19_4_6_6_2, а стоит -- сами видите, более 17 тыс. Новые ещё дороже будут.

Re: FPGA: Altera Xilinx - что выбрать?

Пн янв 10, 2011 19:04:08

Здравствуйте. Подскажите пожалуйста какую WebPack нужно поставить, чтобы поднять XC3020 (старенькая XC3000 серия)? В версии 12.3 ee нет в списке... Спасибо.

Re: FPGA: Altera Xilinx - что выбрать?

Пн янв 10, 2011 23:56:27

CanceR писал(а):Здравствуйте. Подскажите пожалуйста какую WebPack нужно поставить, чтобы поднять XC3020 (старенькая XC3000 серия)? В версии 12.3 ee нет в списке... Спасибо.

Смотри здесь
http://www.xilinx.com/ise/products/clas ... s_list.htm
Но этой серии я там не нашел, есть только 4000, так что не знаю даже....

Re: FPGA: Altera Xilinx - что выбрать?

Вт янв 11, 2011 22:51:23

Ух... Придется обращаться на имп. форум... (их у меня 3 штуки на платах). Программатор через LPT тоже их поддерживает. Да и в сети нет ничего на эту разновидность, тока Даташит... Если что нароете, буду оч признатален.

Re: FPGA: Altera Xilinx - что выбрать?

Пт янв 14, 2011 18:41:46

Здравствуйте. Нашел!!! На рутрекере: XILINX Foundation Series 3.1i
Спасибо за поддержку.

FPGA: Altera Xilinx - что выбрать?

Чт мар 24, 2011 01:48:24

Тут представилась возможность получить по почте.
Разъясните, пожалуйста, характеристики #1 и #2.
Я понимаю, что второе лучше, но и дороже.
А на первом что я смогу сделать? Сомневаюсь, что туда поместится начинка РЛК "РАДИО-86РК". Ну хотя бы аналог МК "Ну, Погоди!" с графо-генератором и видео выходом поместится?

И почему-то ссылки на прайс выдают ошибку. Стоимость не могу уточнить. Где там правильно копать?

Спасибо!

Re: FPGA: Altera Xilinx - что выбрать?

Чт мар 24, 2011 07:14:40

Что-то я сомневаюсь, что в XC2C256 влезет хотя бы проц от РК86, не говоря о всей остальной начинке.
Или вы проц будете в оригинальном виде ставить?

Re: FPGA: Altera Xilinx - что выбрать?

Чт мар 24, 2011 09:22:26

Я себе приобрел №1. Насчет сделать РК можно и не мечтать. Сделать эквивалент процессора 8080 - надо подумать, но так как есть более актульные проблемы - этим не занимаюсь. Конечно, сделать аналог работы, что была на прошлом конкурсе про "Орион" - это не тот уровень. Здесь у вас всего 256 триггеров. Но и этого достаточно, чтобы что-нибудь сделать. Можно попытаться сделать видеоконтроллер, с внешним видеоозу, разумеется. Я вот сделал плату подключающуюся к разъему с простым 4-х разрядным ЖКИ (без контроллера) и тренировался делать часики. Сделал часики с выводом на стрелочные индикаторы (где-то на форуме лежит проект) и много чего.
Насчет второго комплекта - мне кажется, что там прирост возможностей неадекватен приросту цены. Но, может, я ошибаюсь.

FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 00:01:54

В том-то и дело, что занялся я доработкой процессоров i8080/Z80 в i80x80/i80280.
Расширил АЛУ-операции на все регистры, добавил x86-операции LEA и SETcnd и простой механизм мульти задачности.
Пока всё в модифицированном Java-Script в html-эмуляторе.
Но вот интересно заняться всем в ПЛИС.
К тому же и ВГ75 доработать и т.д.

По-этому, ПЛИС должна быть по-идее больше, чем на простой Z80! А значит, те мои ссылки не подходят, как я уже понял.

Тут попробовали посоветовать:Но, как я уже говорил, в ПЛИС у меня нулевой опыт! Вообще не разбираюсь.
Тем более CPLD с Flash-памятью имеют ограниченный цикл.
А я же, как начинающий, могу сразу половину циклов истратить.

Re: FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 00:40:38

Ориентируйтесь на FPGA, а не на CPLD. Это даст:
1) Больший объем в условных тугриках (логических вентилях)
2) возможность конфигурировать посредством JTAG в саму FPGA без сохранения в конфигурационном ПЗУ - очень удобно на этапе отладки

FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 02:03:15

Хорошо.
Вот есть какой-то Spartan и Virtex. Я что-то не очень понял всех их характеристик.
Там, вроде, и статическое ОЗУ есть. А с динамическим что-то не нашёл. И с постоянным.

Немного набросаю свои требования:
  • Идеально было бы около 1Mb DRAM и 64kb EPROM, чтобы не навешивать наружу.
  • Можно со схемой регенерации. Но думаю и самому не тяжело написать в VHDL регенератор.
  • Желательно чтобы динамическое ОЗУ было в нескольких независимых банках, чтобы регенерировать независимо друг от друга.
Буду рад помощи в подборке такой ПЛИС.

Re: FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 03:03:24

Динамической памяти в ПЛИС не существует в принципе, как нет и перепрограммируемого ПЗУ. У ПЛИС совершенно другая функция -- логические схемы реализовывать, и внутренняя память у неё служит лишь в качестве "подпорки" для этого.

В "логической" роли ПЗУ внутренние блоки выступать могут, поскольку их исходное содержимое программируется вместе со всей схемой, однако их содержимое, понятное дело, сохраняется лишь до тех пор, пока подаётся питание.

FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 06:33:49

SII писал(а):Динамической памяти в ПЛИС не существует в принципе, как нет и перепрограммируемого ПЗУ.
Так, уже хорошо (в смысле "с небес на землю"), а то размечтался найти всё в одном кристалле!
SII писал(а):В "логической" роли ПЗУ внутренние блоки выступать могут, поскольку их исходное содержимое программируется вместе со всей схемой, однако их содержимое, понятное дело, сохраняется лишь до тех пор, пока подаётся питание.
Да, я читал. Даже в XC9536 хватает ячеек для всяких гирляндных эффектов.

Значит вешать свои ОЗУ/ПЗУ придётся по-любому.
Вот с ПЗУ у меня напряжёнка в смысле программирования.
Разработал было схему и пришлось загрузчик подогнать под К155РЕ3, так-как вручную легко её прошить яко бы.

М... Хотя, думаю в любой ПЛИС найдётся лишняя таблица под 32 байта программы Z80.

Ну так что же? Какую ПЛИС мне посоветуете?
Желательно готовую ссылку на комплект:
  • Упаковка
  • Установочный диск
  • J-Tag кабель
  • Тест-плата
в пределах $80-100.
Вложения
Z80-auto.png
Эскиз схемы
(57.66 KiB) Скачиваний: 787

Re: FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 11:53:18

Могу порекомендовать вот такой отладочный набор, для вашей задачи хватит с головой(без учета памяти) http://devmind.livejournal.com/34733.html
В терраэлектронике стоит 3100р в розницу. http://www.terraelectronica.ru/catalog. ... earParam=1
Что-то более дешевое, вы 200% не найдете
Ответить