Пт мар 25, 2011 19:45:14
Пт мар 25, 2011 23:47:55
Хм. Интересно, в первую очередь, что диск с ПО и J-Tag кабель в комплекте. И магазины в пределах РФ.nick17 писал(а):Могу порекомендовать вот такой отладочный набор, для вашей задачи хватит с головой(без учета памяти) http://devmind.livejournal.com/34733.html
Выглядит внушительно и цена доступная.Pe3ucTop писал(а):Не согласен с предыдущим оратором, хотя цена всегда понятие относительное:
на мой взгляд:
http://www.terasic.com.tw/cgi-bin/page/ ... 139&No=593
Тоже не плохо.Pe3ucTop писал(а):http://cgi.ebay.com/FPGA-Nios-Dev-Board-EP2C8-USB-Blaster-DaughterCard-/270574515094?pt=BI_Electrical_Equipment_Tools&hash=item3eff7f7396
Сб мар 26, 2011 03:55:44
Сб мар 26, 2011 05:50:10
И-нет не резиновый. Dial-Up ~42kbouds. Было фильм качал около месяца - ~1.2Gb. Свет моргнул, закачка обнулилась...SII писал(а):Если инет резиновый, то всё можно скачать. Правда, объёмы гигантские (во всяком случае, у Хилинха).
Сб мар 26, 2011 13:27:08
Сб мар 26, 2011 23:32:44
Ну, дык.SII писал(а):Ну, чтобы закачки не страдали от обрывов, применяются менеджеры закачек -- сам так качал с Хилинха (там больше 3 гигов сейчас, насколько помню). Другое дело, что медленно, но если сам инет безлимитный, то это не так страшно. Хотя, конечно, удобнее, когда всё вместе идёт.
Вс мар 27, 2011 16:17:17
Чт мар 31, 2011 22:35:28
Paguo-86PK писал(а):...Тоже не плохо.Pe3ucTop писал(а):http://cgi.ebay.com/FPGA-Nios-Dev-Board-EP2C8-USB-Blaster-DaughterCard-/270574515094?pt=BI_Electrical_Equipment_Tools&hash=item3eff7f7396
Однако, как быть с диском и J-Tag кабелем!?
Самому кабель паять - не судьба. А диски у нас такие не купишь.
У меня есть только пиратский OrCAD 10, который с большим трудом отыскал.
Спасибо всем большое!
Пт апр 01, 2011 06:50:16
Хм. Теперь вижу! Однако, просить кого-то качать чего-то - прямая дорога к троянам и червям! Я категорически настроен.Pe3ucTop писал(а):Будьте пожалуйста внимательнее - в комплекте:
Основная плата - 1× Minimal FPGA working system board EP2C8 Version
Дочерняя плата - 1× Daughter Card
JTAG кабель - 1× USB Blaster JTAG Download Cable
ну и т.д.
1× 1602 Character LCD Module
1× MiniType to TypeA USB Cable
4× Pin Header and Receptor pairs
4× Stand-off and Screws
Доступ к полному описанию платы с примерами - FTP support for datasheets and all peripherals HDL Nios2 source project files
там нет конечно официального софта, он на сайте доступен бесплатно.
Обьёмы конечно не маленькие, но уверен можете найти друзей у кого скорость скачки нормальная и DVD писалка есть..
Сб апр 02, 2011 13:23:00
Вс апр 03, 2011 09:30:11
Чт апр 21, 2011 06:26:22
Чт апр 21, 2011 06:57:59
Paguo-86PK писал(а):Кстати, кто видел это? Вот я завидую
Чт апр 21, 2011 07:18:47
Zheleznjakov писал(а):может кому будет интересно, усб программатор для плис
http://ziblog.ru/2011/03/31/usb-program ... is-xilinx/
Чт апр 21, 2011 09:16:01
atmicandr писал(а):да вот только во сколько сия затея обойдётся? Не проще ли тогда купить сразу Xerses?
Чт апр 21, 2011 10:04:19
SubDia писал(а):atmicandr писал(а):да вот только во сколько сия затея обойдётся? Не проще ли тогда купить сразу Xerses?
Я прикидывал, в нашем регионе (Крым, Севастополь) сия затея обещает обойтись в ~30-35$ - чисто комплектующие. Текстолит, фоторезист и прочие расходные в расчет не брал. Xerses же стоит порядка 100$ (деньги тоже не ахти какой величины, конечно), но основная проблема в том, что я так и не нашел, где в Украине можно приобрести хоть какой-нибудь программатор для продуктов Xilinx. Как начинающий в этом вопросе решил, конечно, собрать копеечный вариант LPT-программатора для начала, а там, ежели пойдет, можно и девайс от ZiB'а собрать (тем более, что производство под рукой, и тетечки-монтажницы за ласковую улыбку и шоколадку распаяют плату за считанные минуты - все же надежнее, чем я сделаю своей паяльной станцией).
Чт апр 21, 2011 11:48:19
atmicandr писал(а):Вот Вам магазин как раз в Украине, если заинтересованы в Xerses: http://www.evodbg.com/index.php?option=com_content&task=view&id=55&Itemid=39
Вс май 01, 2011 22:16:55
Вт сен 04, 2012 00:47:26
/*****************************************************************************
cd %~p0
mode con lines=64 cols=64
@iverilog -o %~n0 %~nx0
@if not ErrorLevel 1 @vvp %~n0
@pause
@exit
*****************************************************************************/
module gray_trigger(data, clock, link, chain, touch, reset);
output data, link;
reg data;
input clock, chain, touch, reset;
wire turn;
assign link = chain | touch;
assign turn = ~chain & touch;
always @(negedge reset, posedge clock) data <= !reset ? 0 : data ^ turn;
endmodule
module main;
wire [4:0] data, link;
reg init;
reg tick = 0;
gray_trigger gc0(.data(data[0]), .clock(!tick), .link(link[0]), .chain(0), .touch(1), .reset(init));
gray_trigger gc1(.data(data[1]), .clock( tick), .link(link[1]), .chain(0), .touch(data[0]), .reset(init));
gray_trigger gc2(.data(data[2]), .clock( tick), .link(link[2]), .chain(link[1]), .touch(data[1]), .reset(init));
gray_trigger gc3(.data(data[3]), .clock( tick), .link(link[3]), .chain(link[2]), .touch(data[2]), .reset(init));
gray_trigger gc4(.data(data[4]), .clock( tick), .link(link[4]), .chain(link[3]), .touch(data[3]), .reset(init));
always #10 tick = ~tick;
initial
begin
$display("Time Tact Count Reset");
$monitor("%7d %d %05b %d", $time, tick, data, init);
init <= #0 0;
init <= #5 1;
end
always #330 $finish;
endmodule