Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 19:45:14

Не согласен с предыдущим оратором, хотя цена всегда понятие относительное:
на мой взгляд:
http://www.terasic.com.tw/cgi-bin/page/ ... 139&No=593
http://cgi.ebay.com/FPGA-Nios-Dev-Board ... 3eff7f7396
или ещё можно в нете глянуть: Altera BeMicro

FPGA: Altera Xilinx - что выбрать?

Пт мар 25, 2011 23:47:55

nick17 писал(а):Могу порекомендовать вот такой отладочный набор, для вашей задачи хватит с головой(без учета памяти) http://devmind.livejournal.com/34733.html
Хм. Интересно, в первую очередь, что диск с ПО и J-Tag кабель в комплекте. И магазины в пределах РФ.
Pe3ucTop писал(а):Не согласен с предыдущим оратором, хотя цена всегда понятие относительное:
на мой взгляд:
http://www.terasic.com.tw/cgi-bin/page/ ... 139&No=593
Выглядит внушительно и цена доступная.
Pe3ucTop писал(а):http://cgi.ebay.com/FPGA-Nios-Dev-Board-EP2C8-USB-Blaster-DaughterCard-/270574515094?pt=BI_Electrical_Equipment_Tools&hash=item3eff7f7396
Тоже не плохо.
Однако, как быть с диском и J-Tag кабелем!?
Самому кабель паять - не судьба. А диски у нас такие не купишь.
У меня есть только пиратский OrCAD 10, который с большим трудом отыскал.

Спасибо всем большое!

Re: FPGA: Altera Xilinx - что выбрать?

Сб мар 26, 2011 03:55:44

Если инет резиновый, то всё можно скачать. Правда, объёмы гигантские (во всяком случае, у Хилинха).

FPGA: Altera Xilinx - что выбрать?

Сб мар 26, 2011 05:50:10

SII писал(а):Если инет резиновый, то всё можно скачать. Правда, объёмы гигантские (во всяком случае, у Хилинха).
И-нет не резиновый. Dial-Up ~42kbouds. Было фильм качал около месяца - ~1.2Gb. Свет моргнул, закачка обнулилась...
А у Xilinx их Web-pack весит, дайте вспомнить, то ли 2Гб, то ли 3!
Так что желательно купить сразу всё.

Видел в оффициальном сайте J-Tag кабель за около $80-100! Это же нереальная цена!

Так что, как я понял, дешевле три в одном: Тест-плата, диск и J-Tag кабель.

Ну, в крайнем случае, надо поискать подешевле диски почтой и кабеля. А это снова рыть все магазины надо. В Xilinx что-то я не нашёл заказ дисков...

Re: FPGA: Altera Xilinx - что выбрать?

Сб мар 26, 2011 13:27:08

Ну, чтобы закачки не страдали от обрывов, применяются менеджеры закачек -- сам так качал с Хилинха (там больше 3 гигов сейчас, насколько помню). Другое дело, что медленно, но если сам инет безлимитный, то это не так страшно. Хотя, конечно, удобнее, когда всё вместе идёт.

FPGA: Altera Xilinx - что выбрать?

Сб мар 26, 2011 23:32:44

SII писал(а):Ну, чтобы закачки не страдали от обрывов, применяются менеджеры закачек -- сам так качал с Хилинха (там больше 3 гигов сейчас, насколько помню). Другое дело, что медленно, но если сам инет безлимитный, то это не так страшно. Хотя, конечно, удобнее, когда всё вместе идёт.
Ну, дык.
Free Download Manager или ReGet Deluxe.
Однако на FAT32 (не спрашивайте, почему не NTFS) от отрубания света (UPS не выдержала, пока спал), файлы обнулило.

Тем более там ещё лицензию качать надо, ограничения и т.д.

FPGA: Altera Xilinx - что выбрать?

Вс мар 27, 2011 16:17:17

Ой, извиняюсь, не обратил внимание, что http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593 включает в комплект диск и кабель. Решено, остановлюсь на этом.
Но вот сколько будет стоить дополнительная оплата (таможня и т.д.)?

Когда с ПЛИС уже более-менее разобрался, в голову пришла одна мысль, которую давно хотел реализовать. В общих чертах это - нечто напоминающее графопостроитель с видео контролем. Несколько постоянных электродвигателей, паяльник, микродрель. Чтобы можно было через интерфейс программы помечать нужные точки под отверстия или пайку.
Раньше на материнских платах очень часто встречались какие-то толстенные микросхемы с загадочной маркой HE72***. Намного позже, когда отыскал Data Sheet по ним и они оказались обыкновенными реле, стало очень интересно. Можно ли их использовать под управление питанием паяльника? По два реле: Одно разрывает общую цепь накала, второе управляет полупериодом накала и коротит диод.
Вот не в курсе, бывают ли такие поляризованные реле?

Самим ПЛИС-устройством планировалось управлять(самодельной прогой посылать команды, отмечать отверстия и т.п. чтобы уже не вмешиваться в саму прошивку ПЛИС. или в случае с ПЛИС-ZX-Spectrum грузить программы прямо в память без Tape-In кабеля, эмулировать нажатия клавиш/джойстика эхом с моего PC) с компьютера через USB 1-Wire. Как и датчики температуры, конечники и интерфейс думал через 1-Wire перефирийные чипы. Однако цена DS9490R просто пугает! :shock:

И раз тот Mini-B используется только для прошивки ПЛИС, то посоветовали FT2232.
А под двигатели - L297. Но она дорогая больно что-то.

Re: FPGA: Altera Xilinx - что выбрать?

Чт мар 31, 2011 22:35:28

Paguo-86PK писал(а):...
Pe3ucTop писал(а):http://cgi.ebay.com/FPGA-Nios-Dev-Board-EP2C8-USB-Blaster-DaughterCard-/270574515094?pt=BI_Electrical_Equipment_Tools&hash=item3eff7f7396
Тоже не плохо.
Однако, как быть с диском и J-Tag кабелем!?
Самому кабель паять - не судьба. А диски у нас такие не купишь.
У меня есть только пиратский OrCAD 10, который с большим трудом отыскал.

Спасибо всем большое!

Будьте пожалуйста внимательнее - в комплекте:
Основная плата - 1× Minimal FPGA working system board EP2C8 Version
Дочерняя плата - 1× Daughter Card
JTAG кабель - 1× USB Blaster JTAG Download Cable
ну и т.д.
1× 1602 Character LCD Module
1× MiniType to TypeA USB Cable
4× Pin Header and Receptor pairs
4× Stand-off and Screws

Доступ к полному описанию платы с примерами - FTP support for datasheets and all peripherals HDL Nios2 source project files
там нет конечно официального софта, он на сайте доступен бесплатно.
Обьёмы конечно не маленькие, но уверен можете найти друзей у кого скорость скачки нормальная и DVD писалка есть..

FPGA: Altera Xilinx - что выбрать?

Пт апр 01, 2011 06:50:16

Pe3ucTop писал(а):Будьте пожалуйста внимательнее - в комплекте:
Основная плата - 1× Minimal FPGA working system board EP2C8 Version
Дочерняя плата - 1× Daughter Card
JTAG кабель - 1× USB Blaster JTAG Download Cable
ну и т.д.
1× 1602 Character LCD Module
1× MiniType to TypeA USB Cable
4× Pin Header and Receptor pairs
4× Stand-off and Screws

Доступ к полному описанию платы с примерами - FTP support for datasheets and all peripherals HDL Nios2 source project files
там нет конечно официального софта, он на сайте доступен бесплатно.
Обьёмы конечно не маленькие, но уверен можете найти друзей у кого скорость скачки нормальная и DVD писалка есть..
Хм. Теперь вижу! Однако, просить кого-то качать чего-то - прямая дорога к троянам и червям! Я категорически настроен.
Так что буду брать с terasic. Хоть там дороже, но гарантия для меня (новичка), что не буду рыскать по FTP. Диски есть - это главное. А через год, может быть, куплю нечто подобное тому в ebay.

Однако, ещё думаю к terasic выбрать Nokia3510-LCD.

Re: FPGA: Altera Xilinx - что выбрать?

Сб апр 02, 2011 13:23:00

может кому будет интересно, усб программатор для плис
http://ziblog.ru/2011/03/31/usb-program ... is-xilinx/

FPGA: Altera Xilinx - что выбрать?

Вс апр 03, 2011 09:30:11

Имеется тут несколько чистых (непаянных) плат "ZX-Spectrum 48 (Ленинград)", на которую планирую установить РУ7 (вместо РУ5). Если не ошибаюсь, ещё одну ножку адреса достаточно объединить. Установить необходимые детали видео узла (RGB-усилитель и т.д.). К тому же готовый РЧ-модулятор имеется с PAL/SECAM кодером. Есть ещё советской эпохи световые перья дубовые :))

В общем, обойдусь без всяких фирменных сенсорных дисплеев. Я же не карманный компьютер на собственном процессор собираюсь делать :)
Главное, чтобы ПЛИС программировалась без проблем. Да и с 1-Wire разобраться бы.

P.S.: В принципе, связываться с компьютером (имеется ввиду: устройство на ПЛИС уже готово и функционирует само, ожидая команд из вне) можно через RS-232, так-как в сети пишут, связываться через COM-порты проще простого.
Но вот я никак не выгуглил, какая микросхема-контроллер предназначена для этого? Чтобы без мороки подключалась к ПЛИС, управлялась и была доступна в Z80 (ПЛИС-аналоге)?

FPGA: Altera Xilinx - что выбрать?

Чт апр 21, 2011 06:26:22

Интересно тоже. Жаль, что заоблачные цены :cry:
Кстати, кто видел это? Вот я завидую :)))
Сам уж 14 лет копаюсь в этом направлении, эмуляторы пложу. Но так хочет в железе всё испытать! В ПЛИС!
Кстати, у него используется аж две сотни тысяч ячеек против двух десятков в выбранной мною DE0-Nano! Это значит, никак не уместится? :shock:

Re: FPGA: Altera Xilinx - что выбрать?

Чт апр 21, 2011 06:57:59

Paguo-86PK писал(а):Кстати, кто видел это? Вот я завидую :)))

Нуу... там на гвоздях всё держится :) , спаяно т.е.
Вот это посмотрите
http://www.homebrewcpu.com/
http://www.homebrewcpu.com/Pictures/alu_12.JPG
http://www.homebrewcpu.com/Pictures/mem_4.jpg

Re: FPGA: Altera Xilinx - что выбрать?

Чт апр 21, 2011 07:18:47

Zheleznjakov писал(а):может кому будет интересно, усб программатор для плис
http://ziblog.ru/2011/03/31/usb-program ... is-xilinx/

Интересно то интересно конечно, да вот только во сколько сия затея обойдётся? Не проще ли тогда купить сразу Xerses?

Re: FPGA: Altera Xilinx - что выбрать?

Чт апр 21, 2011 09:16:01

atmicandr писал(а):да вот только во сколько сия затея обойдётся? Не проще ли тогда купить сразу Xerses?


Я прикидывал, в нашем регионе (Крым, Севастополь) сия затея обещает обойтись в ~30-35$ - чисто комплектующие. Текстолит, фоторезист и прочие расходные в расчет не брал. Xerses же стоит порядка 100$ (деньги тоже не ахти какой величины, конечно), но основная проблема в том, что я так и не нашел, где в Украине можно приобрести хоть какой-нибудь программатор для продуктов Xilinx. Как начинающий в этом вопросе решил, конечно, собрать копеечный вариант LPT-программатора для начала, а там, ежели пойдет, можно и девайс от ZiB'а собрать (тем более, что производство под рукой, и тетечки-монтажницы за ласковую улыбку и шоколадку распаяют плату за считанные минуты - все же надежнее, чем я сделаю своей паяльной станцией).

Re: FPGA: Altera Xilinx - что выбрать?

Чт апр 21, 2011 10:04:19

SubDia писал(а):
atmicandr писал(а):да вот только во сколько сия затея обойдётся? Не проще ли тогда купить сразу Xerses?


Я прикидывал, в нашем регионе (Крым, Севастополь) сия затея обещает обойтись в ~30-35$ - чисто комплектующие. Текстолит, фоторезист и прочие расходные в расчет не брал. Xerses же стоит порядка 100$ (деньги тоже не ахти какой величины, конечно), но основная проблема в том, что я так и не нашел, где в Украине можно приобрести хоть какой-нибудь программатор для продуктов Xilinx. Как начинающий в этом вопросе решил, конечно, собрать копеечный вариант LPT-программатора для начала, а там, ежели пойдет, можно и девайс от ZiB'а собрать (тем более, что производство под рукой, и тетечки-монтажницы за ласковую улыбку и шоколадку распаяют плату за считанные минуты - все же надежнее, чем я сделаю своей паяльной станцией).

Вот Вам магазин как раз в Украине, если заинтересованы в Xerses: http://www.evodbg.com/index.php?option=com_content&task=view&id=55&Itemid=39

Re: FPGA: Altera Xilinx - что выбрать?

Чт апр 21, 2011 11:48:19

atmicandr писал(а):Вот Вам магазин как раз в Украине, если заинтересованы в Xerses: http://www.evodbg.com/index.php?option=com_content&task=view&id=55&Itemid=39

Вот спасибо, видать плохо я искал. :)

Re: FPGA: Altera Xilinx - что выбрать?

Вс май 01, 2011 22:16:55

Я собрал программатор от ZiB-a, вышло порядка 1200р. с корпусом

FPGA: Altera Xilinx - что выбрать?

Вт сен 04, 2012 00:47:26

И вот я снова на форуме! :)))

Наконец-то посоветовали скачать очень маленький пакет для разработки Verilog проектов.
Правда, некоторая морока с консолью, так как пользуюсь редактором BrEd, а не каким-то там заточенным. Но, выход всё-таки нашёл: Все файлы описываю как .bat с шапкой
Спойлер
Код:
/*****************************************************************************
cd %~p0
mode con lines=64 cols=64
@iverilog -o %~n0 %~nx0
@if not ErrorLevel 1 @vvp %~n0
@pause
@exit
*****************************************************************************/
и запускаю в BrEd'е стандартно - F9.

Вот только выучить Verilog оказалось сложнее, чем я думал!
Хотя синтаксис я весь практически изучил. Но вот перейти на аппаратный уровень мышления оказалось немного сложнее.

По-началу я хотел сразу взяться за описание ядра собственного процессора (черновой вариант в JavaScript работает). Но всё оказалось намного сложнее...

Затем, решил попрактиковать на более простом. Но тут же споткнулся!
Решил описать счётчик Грея не как тут, а единичными Грей-триггерами. Чтобы сцепить их хоть до 128 разрядов!
С огромным трудом добился результата за 5 часов труда :)))
Спойлер
Код:
module gray_trigger(data, clock, link, chain, touch, reset);
output data, link;
reg data;
input  clock, chain, touch, reset;
wire turn;
    assign link = chain | touch;
    assign turn = ~chain & touch;
    always @(negedge reset, posedge clock) data <= !reset ? 0 : data ^ turn;
endmodule                                                                                                            

module main;
    wire [4:0] data, link;
    reg init;
    reg tick = 0;

    gray_trigger gc0(.data(data[0]), .clock(!tick), .link(link[0]), .chain(0),       .touch(1),       .reset(init));
    gray_trigger gc1(.data(data[1]), .clock( tick), .link(link[1]), .chain(0),       .touch(data[0]), .reset(init));
    gray_trigger gc2(.data(data[2]), .clock( tick), .link(link[2]), .chain(link[1]), .touch(data[1]), .reset(init));
    gray_trigger gc3(.data(data[3]), .clock( tick), .link(link[3]), .chain(link[2]), .touch(data[2]), .reset(init));
    gray_trigger gc4(.data(data[4]), .clock( tick), .link(link[4]), .chain(link[3]), .touch(data[3]), .reset(init));

    always #10 tick = ~tick;

    initial
    begin
        $display("Time Tact Count Reset");
        $monitor("%7d %d %05b %d", $time, tick, data, init);
        init <= #0 0;
        init <= #5 1;
    end

    always #330 $finish;
endmodule
Ответить