Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: Quartus и Modelsim

Вт июн 05, 2012 06:47:31

Сколько людей - столько мнений. Моделсим, в сравнении со стандартным квартусовским "рисовальщиком" (убрали с версии квартус 10), очень шустрый.

Re: Quartus и Modelsim

Вт июн 05, 2012 13:18:14

Вообщем не понятно, что там за файлик квартус генерил (с непонятным расширением), если я просто его подсовывал, на меня моделсим ругался, что мол нет тестбенча, а если я пытался этот файлик дополнить входными воздействиями, на меня уже квартус ругался и уже не хотел повторно компилить проект. Пришлось отделтно создавать фай с расширением .vhd прописывать в нём всё ручками, заполнять необходимую форму в квартусе (блин прям как у нас бюрократия) где зачем то надо указывать топлевел (блин я ж при создании проектаего указал), затем идентификатор портмапа компонента проекта в тестбенче, и только затем запускать моделирование. При этом моделсим всёрано ругался, что мол нет какой то библиотеки (возможно её квартус должен был сгенерить), но в списки библиотек значились оба файла и сам проект и тестбенч. Так что затем уже вручную вытаскивал сигналы на морду программы, и рестартил моделирование.... может это и очевидно всё, но я в это до ночи въезжал ЭТО БЛИН ЧЁ ПРОСТО? в айесе я жмакал ВСЕГО ОДНУ КНОПКУ:twisted: .... вообщем дело вкуса... именно нанего на вкус и цвет товарищей нет, но вот теперь лично для себя то я всё решил!
По опводу шустрости не буду спорить... возможно, но я думою дело в удобстве, и у айсе и у квартуса моделировать как то не очень удобно (не тот инструментарий). Можно конечно пользоваться активом но мне у него интерфейс не нравится... на белом фоне эти осциллограммы смотреть... выжигает сетчатку... может и можно что то сделать но мне лень было в настройках лазить... и вот как мне показалось (опять таки субъективность восприятия) он оказался не только попроще, но и даже пошустрее моделсима...
Последний раз редактировалось Грендайзер Вт июн 05, 2012 13:26:20, всего редактировалось 1 раз.

Re: Quartus и Modelsim

Вт июн 05, 2012 13:22:08

я жмакал ВСЕГО ОДНУ КНОПКУ

Хочу моррроожное, хочу пиррожное.. Эй вы, двое из ларца, вы чего и есть за меня будете? :)))
Извиняюсь за оффтоп

Re: Quartus и Modelsim

Вт июн 05, 2012 13:27:56

Meteor писал(а):
я жмакал ВСЕГО ОДНУ КНОПКУ

Хочу моррроожное, хочу пиррожное.. Эй вы, двое из ларца, вы чего и есть за меня будете? :)))
Извиняюсь за оффтоп

нет, ну правда, ну надоедает вот этим дрочеством на пустом то месте заниматься :(

Re: Quartus и Modelsim

Вт июн 05, 2012 13:29:22

Отладка - это вам не это! Вырванные волосы, причем не только на голове :facepalm:

Re: Quartus и Modelsim

Вт июн 05, 2012 13:31:44

coredumped писал(а):Отладка - это вам не это! Вырванные волосы, причем не только на голове :facepalm:

Ну вот именно поэтому этот процесс надо и облегчать по максимуму :tea:

Re: Quartus и Modelsim

Вт июн 05, 2012 13:54:34

Уж позвольте не согласиться.
У меня файл проекта содержит строк так 70..180.
Тестбенч на проект (если вложенные применяю) то же строк так на 80.
О какой автоматизации идет речь - о выдачи 15 строк содержащих описание портов и заголовок архитектуры?
Да сто лет такая автоматизация сдалась - проще самому нацарапать. Заодно ведь и мысли сформируешь - где правильно, а где не правильно подаются сигналы

Re: Quartus и Modelsim

Вт июн 05, 2012 14:35:14

Я не про нацарапанные 15 строк... я про то, что с моделсисом квартус как то нерез голову работает... однако это уже всё демогогия. Если кто считает, что вот описанный мной выше способ интеграции не чуть не напряжнее че с айсе то это точка зрения которую нужно уважать (ибо у нас как извесно дер демократия пусть и для избранных). Я же со своей точки зрения сказал, что мне этоне удобно и спросил как квартус под себя настроить. А утверждать что мол эта прога лучше или та (при том, что она буржуинская и к нам то по большому счёту имеет косвенное отношение) это бред.
P.S.
а вот мне жуть как надоедает эти 15 строк самому писать :))

Re: Quartus и Modelsim

Вт июн 05, 2012 15:53:18

В конечном итоге, Вы придете к некоторой "удобной для Вас" последовательности действий в той или иной среде проектирования.

Re: Quartus и Modelsim

Вт июн 05, 2012 16:28:22

Грендайзер писал(а):Вообщем не понятно, что там за файлик квартус генерил (с непонятным расширением), если я просто его подсовывал, на меня моделсим ругался, что мол нет тестбенча, а если я пытался этот файлик дополнить входными воздействиями, на меня уже квартус ругался и уже не хотел повторно компилить проект.

Сейчас попробовал - вбил в тестбенч "белеет парус одинокий, в тумане моря голубом". Квартус проект собирает без вопросов. Моделсим правда ругается, может он Лермонтова не любит? :)) Попробовать Пушкина? :))

Грендайзер писал(а):где зачем то надо указывать топлевел (блин я ж при создании проектаего указал), затем идентификатор портмапа компонента проекта в тестбенче, и только затем запускать моделирование.

Тестбенч может быть не на весь проект, а только на его часть. Соответственно и топ левел будет разным.

Грендайзер писал(а):При этом моделсим всёрано ругался, что мол нет какой то библиотеки (возможно её квартус должен был сгенерить), но в списки библиотек значились оба файла и сам проект и тестбенч.

Может версия Моделсима не та, что нужна квартусу? Там привязка как раз по библиотекам. Они должны быть одинаковыми в квартусе и моделсиме. Или надо компилировать библиотеку в Моделсиме под Квартус так же как не для альтеровской версии моделсима.

Грендайзер писал(а): Так что затем уже вручную вытаскивал сигналы на морду программы, и рестартил моделирование....

Ну так Моделсим не отличается удобством :))

Грендайзер писал(а):По опводу шустрости не буду спорить... возможно, но я думою дело в удобстве,

Удобство в Икарусе. Шустрость там же. На небольших проектах от начала синтеза до графика 1-2 секунды. Но это не для VHDL, а только для верилога :)

Re: Quartus и Modelsim

Вт сен 18, 2012 10:05:47

Начал изучать ПЛИС от Альтеры, есть платка DE0-NANO, и соответственно QuartusII. VHDL и Verilog пока у меня не идет (скачал кучу литературы, но изучение пока не сильно продвигается), поэтому пока делаю все в схемотехническом редакторе. В связи с этим вопрос номер раз: можно как-то крутить элемент до того как ставить его на поле? Задолбало каждый раз переключаться в режим выбора, тыкать на детальку и крутить ее (опять же кнопкой в меню). Во всех нормальных редакторах это делается до установки кнопкой на клавиатуре.

Не вопрос, скорее удивление, почему чтобы ввести имя цепи нужно в окне свойств тыкать мышью в поле с названием, чтобы поставить туда курсор, если это единственный элемент управления в этой вкладке блин!!!111 :facepalm:

И еще вопрос. Может я не до конца догоняю суть ПЛИС, но конкретный пример: сделал UART (приемник), примерно в середине схемы есть D-триггер, переключающийся в "1" во время передачи байта. Для диагностики, его линия Q подключена через вывод ПЛИС к осциллографу, она же через "и" подключает счетчик бит и сдвиговый регистр к генератору 9600Гц. Стоит отключить вывод и после компиляции схема перестает работать. Или есть например там же кусок схемы - просто триггер, который взводится после приема всех бит - это флаг означающий конец приема, его выход Q подключен к выводу, не назначенному никакой из ног ПЛИС. Делаю ему сброс от общей линии сброса (выведена на ногу с кнопкой) - прием работает, делаю через "или" с "внутренней" линией сброса (тоже пока в воздухе) - не работает. Странно, учитывая что схема должна работать вообще без этого триггера. :dont_know:

Re: Quartus и Modelsim

Вт сен 18, 2012 16:28:15

Да, редактор схем у Квартуса далек от совершенства. Ососбенно нужно тщательно следить за цепями и наименованиями выводов при использовании мегавизарда. Иногда, при изменении компонента, он переставляет выводы местами, что можно и не заметить в аылу отладки. Сам пару раз бился головой об монитор :facepalm:

Re: Quartus и Modelsim

Вт сен 18, 2012 18:29:29

2 Engineer_Keen, все сделано чтобы вы начали забывать схемный редактор и осваивать ЯОА
Относительно проектов в ПЛИС.
Эти дамы (ПЛИС) крайне не любят асинхронных проектов, а других создать в схематике просто невозможно.

Re: Quartus и Modelsim

Вт сен 18, 2012 18:36:02

Специально не пользовался шинами и не разрывал именованные цепи чтобы исключить косяки с неправильным подключением, благо схема не сильно мудреная получилась. Одна фигня - отключаешь внешнюю ногу (выход) - перестает работать. Методом тыка осциллографом (компиляция между прочим целую минуту занимает :kill: ) в разные места локализовал проблему с точностью до нескольких микросхем, но без толку. Смотрел и в просмотровщике нетлиста и в чип-планнере, по всем законам логики должно работать, но не работает :facepalm: Уже мысль появилась на дискретных элементах собрать, проверить :twisted:

Meteor, ну это отстой какой-то, я думал потом вообще ядро процессорное именно так сделать, уже в протеусе почти доделал его, а тут с каким-то уартом засада. Заметил в нетлисте в некоторых местах перед триггерами поставлены какие-то белые блоки, видимо для синхронизации, может они гадят?
Не ну понятно что такие вещи на HDL нужно делать, но ведь редактор по-идее должен быть ближе с ПЛИС, это же как ассемблер для МК - все описывается минимальными элементарными действиями :(

Re: Quartus и Modelsim

Вт сен 18, 2012 19:12:18

Можно попытаться "обхитрить" таким образом:
1) набросать на бумаге примерную схемку (триггеры, регистры и тп)
2) описать их покускам в HDL, не забыая что всегда должен быть тактовый сигнал (заодно освоить начальные шаги)
3) создать из HDL компонент
4) соединить блоки в схемном редакторе.
5) наслаждаться и гордиться достигнутым результатом (обязательно!)

Re: Quartus и Modelsim

Чт сен 20, 2012 12:57:49

Короче немного переделал приемник, добавил передатчик, работает UART, безо всякого HDL-а, и всего 80 LE-в 8)
Достал еще один дурацкий косяк квартуса - он нифига не следит за именами элементов, первым ставит inst, потом inst1,inst2,inst3... Стоит где-то что-то удалить он первым почему-то иногда опять ставит просто inst, бывает не заметишь, а после 20 секунд компиляции вылазит еррор :facepalm:

Re: Quartus и Modelsim

Чт сен 20, 2012 14:48:01

Engineer_Keen писал(а):Короче немного переделал приемник, добавил передатчик, работает UART, безо всякого HDL-а, и всего 80 LE-в 8)

Расширьте список параметров.
У меня на Циклоне 3 не оптимизированно (знаю точно где можно сэкономить) тратится 140 ЛЭ на приемник и передатчик. Работает на скорости 115200, 12 бит, четность, честная детекция каждого бита (мажоритарная логика 2 из 3). Основная частота 50 МГц.
А у Вас?

Re: Quartus и Modelsim

Чт сен 20, 2012 15:09:04

Скорость фиксированная 9600, 8 бит данных+2 стоп бита, флаги окончания передачи и приема, флаг занятости передатчика. Для приемника и передатчика свои собственные генераторы 9600 Гц (тупо 8 битные счетчики), хотя у нас вся связь - RS-485, полудуплекс, так что в будущем наверно сделаю один генератор. На платке кварц тоже на 50МГц, делю PPL-ом до 1МГц и эту частоту подаю на счетчики.

А логику 2 из 3х я тоже хотел реализовать, но пока и так работает, просто читаю состояние входа ровно по середине бита, прямо как в атмелевском аппноуте по переделке USI в UART.

Соединил выходную защелку со входной, получилось 100 LE :shock:
Ща SPI осваивал. Запустил АЦП в непрерывном режиме, с выводом на светодиодики, пол дня потратил, заработало на 40 LE :music:

Изучение HDL все отодвигается и отодвигается :)))

Re: Quartus и Modelsim

Чт сен 20, 2012 16:32:38

PLL не использую - делю синхронно счетчиками. Приемник и передатчик имеют свои делители (объединить их и можно сократить наверное до 20 ЛЭ)
Какая разрядность Вашего SPI?

Re: Quartus и Modelsim

Чт сен 20, 2012 18:19:52

Meteor писал(а):PLL не использую - делю синхронно счетчиками.?

Извиняюсь, что в разговор вмешался, но, хотелось бы поинтересоваться, Meteor, а с чем связано то, что вы не используете pll?
Ответить