Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: XILINX 9572 у чайника

Сб май 13, 2023 05:02:42

С платы определения положения сравнил по длительности сигналы. Они оказались не идеальны. За оборот, каждый из трёх сигналов делает цикл 1010 . Разница по времени у этих интервалов около 10%. Если эти сигналы разложить на 12 позиций управлением обмоток (111000111000) и сравнить уже их между собой, то разница достигает 20%, за один оборот вала.

Почему-то датчик положения не идеально работает. Хотя по характеристикам вроде как точный. Добавил позиций для синусоиды в два раза, на УНЧ все выходы отрегулировал одинаково. С компоратором игрался, чтоб найти устойчивое, более менее положение. Угол между обмотками sin и cos похож на 90°. Победить пока не удалось. :dont_know:

Принцип работы этого датчика - подаём на две обмотки sin и cos с третей снимаем сигнал, который плывёт по фазе при вращении ротора (относительно первых сигналов).

Изображение

С логического анализатора прикрепил архив с сигналами положения, во втором разложил их на 2х6 положений за оборот.
Вложения
RE_15_EN_01.pdf
(306.79 KiB) Скачиваний: 40
123.rar
(5.1 KiB) Скачиваний: 31

Re: XILINX 9572 у чайника

Вт май 16, 2023 04:22:48

Решил повторить схему с предыдущей страницы. Правда сделал немного по другому. Сперва так же считаю отрезок времени, переношу только половину посчитанного в реверсивный счётчик, идёт обратный отчёт до нулей, затем прямой. Получается вроде как та же условная середина. На совпадение выбираю цифру (в данном случае 16), на выходе имею две палки одного числа, которыми можно триггером или компаратором (меньше или равно) управлять шириной импульса.
Удивительно, но оно даже заработало :beer:

На картинке первый сигнал - это длительность каждой из 12 позиций обмоток статора на полный оборот ротора, далее границы этого сигнала для счётчиков, третий - переключение реверса счётчика, ну и четвёртый установленное значение ширины импульса.

Изображение Изображение

Теперь надо, для начала, прилепить регулировку ширины импульса, покрутить от руки, а затем придумывать автоматическую подстройку частоты вращения от заданного значения.
Сейчас энкодером погонял цифры крутилкой от 0 до 99, долго её вращать надо, почти 5 оборотов. Это уже во время ходовых испытаний буду подбирать значения индикации и криволинейность значений к оборотам.
На данном этапе конструкция занимает около 50% из 144 ячеек.

Re: XILINX 9572 у чайника

Ср май 17, 2023 18:23:49

Удивительно, но оно даже заработало

Ну дык, ёпт :))
Ответить