Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: XILINX 9572 у чайника

Пн апр 11, 2016 08:31:07

А никак - это готовые часы. Ставим буфера и назначаем на пины. Или при создании проекта указываем верхний уровень vhdl и ставим этот файл. Только в pace опять же назначаем пины. Выходы имеют активный уровень низкий. Vlamp сегменты, colon - чертовка в разделителей ив-1, dot - в ней же точка -индуцирует ваш/выкл будильника. Beeper выдает противофазе сигналы, чтобы прямиком подключить пьезо пищалку. Полярность входов не помню.

p.s. Извините за опечатки - писал с планшета, а он некоторые слова на такую херню исправляет. Стоит только не уследить.

Чертовка - черточка
ваш/выкл - вкл/выкл
Последний раз редактировалось uldemir Пн апр 11, 2016 17:29:11, всего редактировалось 1 раз.

Re: XILINX 9572 у чайника

Пн апр 11, 2016 16:01:27

Ну вот, я то думал сейчас будет три страницы схем, мелким шрифтом, а оказалось восемь, где в тексте непонятные закарючьки :)))
Почему-то отказывается компилироваться, пишет : ERROR:NgdBuild:604 - logical block 'XLXI_1/CLK_DIV16_inst' with type 'CLK_DIV16'
Что делать с выводом poweron? Его на землю цеплять или на кнопку?
В остальном всё как на рисунке должно выглядеть? :shock:

Изображение

Re: XILINX 9572 у чайника

Пн апр 11, 2016 16:37:31

asvhmao писал(а):with type 'CLK_DIV16'
А.. это фича больших CoolRunner-ов. у них есть модуль делителя частоты, который я там использовал чтобы чуток макроячейки высвободить. Для других кристаллов надо удалить строчки начинающиеся от -- CLK_DIV16: до -- End of CLK_DIV16_inst instantiation. И убрать комментарий у следующих 5 строчек, которые вместо делителя поставят на вход простой буффер BUFG. Разумеется, буфер частоту не будет делить, поэтому следующий делитель надо снова сделать 10-ти разрядным - заменить строчку:
Код:
signal clk_div : std_logic_vector (5 downto 0) := (others => '0');

на
Код:
signal clk_div : std_logic_vector (9 downto 0) := (others => '0');


Сигнал poweron должен быть в лог.1. При лог.0 на этом входе блокируются кнопки, чтобы случайно не сбить время, когда часы идут от резервного источника питания. У кнопок активный уровень лог.0.

Re: XILINX 9572 у чайника

Пн апр 11, 2016 17:56:38

:beer: Говорит что всё хорошо! Занимает 31 ячейку :)) В железе пока не проверял.
Ну с часами всё теперь понятно, но эти камни ведь могут и другие интересные задачи выполнять. Например частотомер можно ведь на этом устройстве собрать? Частота камня, вроде как, позволяет со многими вкусными диапазонами работать.
Ещё интересно, как реализуют генераторы, у которых можно менять определённый диапазон частот, в равной пропорции.
А больше всего мне интересно узнать, возможно ли эту железку скрестить с АЦП? И чего нибудь измерить?
Например с таким - http://www.chipdip.ru/product/mcp3201-bi-sn/ :shock:

В общем вопросов пока больше чем ответов :))) Но всё равно уже узнал много интересного, за что огромное всем очередное спасибо! :beer:

Re: XILINX 9572 у чайника

Пн апр 11, 2016 18:03:39

31 ячейка - этого мало. что-то не так.

Re: XILINX 9572 у чайника

Пн апр 11, 2016 19:37:10

Да действительно, добавил ему элемент divider1024, а ячеек так и осталось 31. И главное что не ругается ни на что :dont_know: Удалял строчки 128-145, менял 52-ю :shock:

Re: XILINX 9572 у чайника

Пн апр 11, 2016 19:56:18

Тогда надо внимательно смотреть на предупреждения - может какой сигнал не подключен и САПР сократил все цепи связанные с этим сигналом.

Re: XILINX 9572 у чайника

Пн апр 11, 2016 20:06:47

Да тут букет моей бабушки.... :facepalm: :)))

P.s. Для меня пока это всё тёмный лес. В железе я ещё могу разобраться, а код без основ пока не смогу разобрать. Там очень много букав :))

Re: XILINX 9572 у чайника

Вт апр 12, 2016 02:52:19

asvhmao писал(а):WARNING:Xst:647 - Input <clk32k> is never used.
WARNING:Xst:653 - Signal <clkdv> is used but never assigned. Tied to value 0.
WARNING:Cpld:1006 - Design 'clock_vhdl' has no inputs.
думаю, вот основная причина. Надо проверить и подключить все входы.
WARNING:Xst:2677 - Node <clk_div_6> of sequential type is unconnected in block <clock>.
WARNING:Xst:2677 - Node <clk_div_7> of sequential type is unconnected in block <clock>.
WARNING:Xst:2677 - Node <clk_div_8> of sequential type is unconnected in block <clock>.
WARNING:Xst:2677 - Node <clk_div_9> of sequential type is unconnected in block <clock>.
Это - да. разрядность счетчика увеличили, а сигналы как брали так и продолжаем брать с более ранних разрядов... в исходнике надо во всех упоминаниях clk_div цифирки увеличить на 4.

p.s. Вот я тут попробовал упхнуть в xc95xx - потребовалась xc95144:
Код:
*************************  Mapped Resource Summary  **************************

Macrocells     Product Terms    Function Block   Registers      Pins           
Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
136/144 ( 94%) 443 /720  ( 62%) 256/432 ( 59%)   70 /144 ( 49%) 36 /81  ( 44%)
Правда, пришлось в опциях задать оптимизацию по объёму: "Optimize Density". Без этого даже в 95288 не хотела лезть.

Re: XILINX 9572 у чайника

Ср апр 13, 2016 14:41:29

Не, без знаний основ мне коды прошивки не победить. :(
Тут решил снова достать макетку с xc95144, как и следовало ожидать, ни чего хорошего из этого не вышло :facepalm:
Программаторы видятся оба, как и usb так и lpt, но зато теперь макетка с xc9572xl работает как часы, вернее говоря как туда часы залил, так теперь ни чего с ней сделать больше не могу, ни стереть, ни записать :facepalm:
Есть подозрение, что сам не зная того, мог установить защиту на камень. :oops: Как эта защита ставится? И что делать, что б не попадать в такие ситуации?
Вот теперь думаю, снова сдувать микросхему или делать новую макетку. :))) :facepalm:

Re: XILINX 9572 у чайника

Чт апр 14, 2016 16:05:15

Почитал немного в ответах у xilinx про ошибки 583 и 585, пишут что у запароленных микросхем, на TDI логический ноль, а должно быть один. Нажал такого таракана и поставил единицу. Камень вроде как ожил, стирается, записывается, но при прошивке выкидывает ошибки как на картинках :shock:

Изображение


Изображение Изображение

Но этот фокус получился всего один раз, больше повторить не получается :(

Другой фокус был с функциями ID и user code. Поигравшись с ними камень снова обнаружился. Так и не понял что делал, но у меня все ходы записаны :)) Думаю переустановить программу и сделать новую макетку.

Если у кого возникнет подобная ситуация, выкладываю портянку с тем что натыкал, когда камень ожил и определился.

Re: XILINX 9572 у чайника

Ср апр 27, 2016 01:37:20

Как обещал, собрал первые часы с макетки в корпус. Теперь с чистой совестью можно идти в отпуск :beer:

Изображение Изображение Изображение

Немного видео - https://yadi.sk/i/hONNlU5OrKJbT :tea:

Re: XILINX 9572 у чайника

Ср апр 27, 2016 11:35:27

Здорово :beer: Мне нравится :beer: А какую мелодию играет оркестр?

Re: XILINX 9572 у чайника

Ср апр 27, 2016 15:46:58

Сам по себе оркестр ни чего не играет, на видео просто подключены обычные колонки к обычному плееру, где звучит
песня рок-группы Deep Purple, Smoke On The Water.
На самом деле звучание нормальное, а вот на видео, камера ВЧ задирает, а НЧ глушит :dont_know:
Есть у оркестра и свой динамик, но при воспроизведении, качество оставляет желать лучшего :facepalm:
Также рассматривал такой вариант - viewtopic.php?p=2634097#p2634097
Думал и по поводу готовых микросхем с отцифроваными звуками. Но до отпуска не успеваю всем этим по заниматься. Остановимся пока на том что есть. :tea:

P.s. единственный есть недостаток в отображении цифер часов. Вторую цифру, если считать с права на лево, надо было перевернуть и её точку так же подключить к отображению секундных интервалов. Уже поздно, но на будущее учтём. :write:

Re: XILINX 9572 у чайника

Сб июн 04, 2016 15:08:48

Пока в отпуске, в свободное время листаю книжки. В сундуке у кота откопал два тома "Проектирование цифровых устройств", Уэйкерли Д.Ф. в переводе Воронова и Ларина. Прочитал их на одном дыхании. Много примеров как в схематике так и на языках программирония ABEL и VHDL.

https://sunduk.radiokot.ru/loadfile/?load_id=1287374495

https://sunduk.radiokot.ru/loadfile/?load_id=1287374668

Хотелось бы узнать, есть ли книжки в подобном стиле но с большим уклоном на Verilog VHDL? :tea:

Re: XILINX 9572 у чайника

Пт июн 17, 2016 09:28:10

У друзей китайса нашёл камень xc9572xl 10vqg44, и стоимость на сегодняшний день около ста рублёв. Для начинающих, думаю, это то что надо! :roll:

Изображение

Для особо ленивых есть уже готовые платки, по 500-600 рублей.

Изображение

Re: XILINX 9572 у чайника

Сб июн 18, 2016 21:21:08

Ээээх. А еще полтора десятка лет назад, я бегал, как наскипидаренный, в поиске хоть каких-нибудь ПЛИС-ов. Был даже решившись через кредитку купить прямо на сайте производителя. Вот только проблема была в том, что стран exUSSR в списке там не было. А снизу была сноска - если вашей страны там нет, то эти "высокие технологии" по причине запрета экспорта технологий вам продаваться не могут. Потом только как-то умудрился купить ТРИ штуки с завода-производителя. И пришли они из Сингапура курьером в коробке метр длиной и 40 на 40см в сечении.

Кстати, рекомендую еще посмотреть в сторону серии CoolRunnerII - xc2cXX. где XX - 64, 128, 256 и 512. У них немного другая организация. Не PAL, а PLA. хоть она имеет всего 5 термов на макроячейку (xc95 тоже 5 с возможностью занять по одному с соседних неиспользуемых, т.е. можно дорастить до 7). Но зато в ней лучше укладывается элемент исключающее ИЛИ. Еще, они могут работать с обоими фронтами тактовых импульсов (dual edge), а xc95 - только с одним. Ну и как упоминал, 128-й и более крупные имеют внутри четырёхразрядный предделитель для тактовых импульсов, т.е. без траты макроячеек модно делить частоту на 2, 4, 8, 12, 16 (точно уже не помню какие коэф. доступны). Правда, с питанием у них проблема - помимо питания вводов-выводов им нужно отдельное питания ядра 1.8в.

Re: XILINX 9572 у чайника

Сб июн 18, 2016 22:52:41

Единственное не пойму, почему эти штуки так не популярны среди наших радиолюбителей в данное время? :shock:
А когда показал, ранее приведённые, часы любителям пиков и мегаф, то они при слове ПЛИС, шарахаются как от ядерной бомбы :facepalm:
Я себя не считаю шибко грамотным в области электроники, а с языками вообще тёмный лес, но при первой же моргающей лампочке на этих камнях, сделал вывод о их плюсах в моих будущих поделках.

По поводу 128 вариант рассматривал для себя (думаю со стоногими справлюсь). Да и надо же вашу программу допилить, которую вы мне дали в начале страницы. :))
72е с 44мя ногами это для начинающих (и для меня в том числе), как вариант. Соотношение цена - количество ног (на уменьшение) - и количество ячеек.

Про пред делитель и питание для ядра это интересно, спасибо, не читал ещё про них особо. :beer:
А вот нет таких микросхем которые имеют ПЗУ в самом камне, значение которого при необходимости можно былоб менять из вне, кнопками например (как в МК)?
Ещё смотрю способы преобразования двоичного числа в двоично-десятичное (например для преобразования результата из АЦП), там есть несколько вариантов, такие как делением, метод левого сдвига и т.п., какой способ больше для ПЛИСок можно применять?

Re: XILINX 9572 у чайника

Вс июн 19, 2016 05:36:12

viewtopic.php?f=20&t=39853 - была тема. Довольно ёмкая проблема. Но, полагаю, какой метод не применять, число требуемых макроячеек будет примерно одинаковым.

Re: XILINX 9572 у чайника

Вс июн 19, 2016 14:32:27

В конце той темы, вы писали что хотите реализовать метод левого сдвига. Победили его или нет? (Просто интересно, подробности думаю ещё рано, итак много информации :)) )

А вот по поводу вариантов реализации ПЗУ, где при необходимости можно менять их значения, рад буду выслушать любой совет, как правильно всё внедряется и подключается у ПЛИСок? :dont_know:
Ответить