Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Проблема с подключением ICON/ILA/VIO в Xilinx ISE

Чт май 12, 2016 15:08:05

Возникает следующая ошибка:
ERROR:Xst:528 - Multi-source in Unit <top> on signal <enable>; this signal is connected to multiple drivers.


Код:
input enable
      
wire [7:0] SYNC_IN;
wire SYNC_OUT;
   
assign SYNC_IN[0] = block_5V;
assign SYNC_IN[5:1] = cod [4:0];
assign SYNC_IN[6] = comand;
assign SYNC_IN[7] = ready;
assign enable = SYNC_OUT;

icon ICON
(
   .CONTROL0 (control0),
   .CONTROL1 (control1)
);

ila ILA
(
   .CLK (clk),
   .CONTROL (control0),
   .TRIG0 (block_5V),
   .TRIG1 (cod),
   .TRIG2 (comand),
   .TRIG3 (ready),
   .TRIG4 (enable)
   
);

vio VIO
(
   .CLK (clk),
   .CONTROL (control1),
   .SYNC_IN(SYNC_IN), // IN BUS [7:0]
   .SYNC_OUT(SYNC_OUT)
);

Далее enable используется в блоке always, но этой переменной ничего не присваивается, эта переменная ничему не присваивается, она лишь проверяется на условие: равна ли она нулю либо единице.

Не понимаю, что же может быть не так.

Re: Проблема с подключением ICON/ILA/VIO в Xilinx ISE

Чт май 12, 2016 19:43:41

Вы объявили enable как вход.
Затем в этот вход выводите SYNC_out (assign enable=SYNC_OUT), потом соединяете enable с TRIG4 (причем я не уверен что TRIG4 это у вас вход).
Сдается мне, что-то должно быть иначе (assign SYNC_OUT=enable было бы понятно, но нет в нем смысла)
Ответить