Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Quartus 2x

Вс май 29, 2022 17:17:51

Преамбула:
Подсадил меня на Альтеру, более 20 с лишним назад, большая умница и просто очень хороший человек и инженер, царствие ему небесное, Саня Покладов.
Это тот человек, кто создал ВЕКТОР 6С, одноплатный компьютер, который потом паял чуть ли не весь СССР, который был 80-е годы был напечатан
в журнале РАДИО. Но люди смертны, а уж такие умницы - уходят почему-то первыми..., не то что я - ординарный инженеришко, всю жизнь занимавшийся
чем попало, потому что сначала надо было кормить семью, учить детей, рожать внуков и тд. Но вот вроде хорошо всё, как может быть хорошо человеку который
мечту откладывал на потом. Выйдя на пенсию пока не растряс свой накопленный жир купил на ебее 2 дизайн-кита:
MAXII EPM240T100C5N и CycloneIV EP4CE622C8N. Китайцы обещали софт, а потом просто скинули ссылку на сайт альтеры, кою я и без них знал.
Не стану объяснять - почему для меня это не вариант. Это длинно и скучно, и для меня бесперспективно.

Сам вопрос:
Конечно, хотелось бы у уважаемого сообщества попросить ссылочку (можно в личку) на Quartus посовременнее, и чтобы реально работал.
Скачал на РУТРЕКЕРЕ 21версию, оказалось в итоге, что она не устанавливается, пока разбирался что не так - она рутрекера исчезла, причин не знаю...
После попытки с 2-х значным номером решился cдаться на милость сообщества.
Конечно, мой опыт общения с альтерой закончился на MAX+PLUSII v10.1. Но это не означает , что я дотошно не выполнял все инструкции по "правильной"
установке
Короче, буду весьма признателен за рабочую, проверенную ссылку , по которой реально кто-либо работает.
Хочу знать точно - это у меня просто руки и мозги не так заточены или всё-таки не зря с рутрекера исчез этот вариант.

Re: Quartus 2x

Вс май 29, 2022 18:08:38

Я скачивал его прямо с официально сайта Intel.
Без лицензии функционал будет несколько ограничен, но в целом работать можно.

Там есть фильтры, выбираете в зависимости от того, под какую ОС и под какие ПЛИС.
Просто совсем свежак может не поддерживать старые серии FPGA.
В моем случае были FPGA Max II, Cyclone II и Cyclone IV. Самая крайняя версия с поддержкой всех этих ПЛИС - Quartus II Web Edition v13.0 sp1
Ссылка Внимание! Там уже настроены фильтры на версию под Windows и с поддержкой Cyclone II. Потому обратите внимание на настройки фильтрации списка.

Re: Quartus 2x

Вс май 29, 2022 19:53:34

Я работаю с 13.1 с ломаной лицензией, не гонюсь за новинками.
Тоже приобрёл себе домой поиграться плату CoreEP4CE6 с Cyclone IV.
Даже думал накидать что-то вроде статьи. Но как всегда стало лень :)

Учтите, что в новых версиях квартуса пропадает поддержка старых кристаллов.

Re: Quartus 2x

Вт май 31, 2022 12:17:56

Здравствуйте Вам ещё раз!
наконец-то поставил QUARTUS 13.0
Со времён MAX+PLUSII за четверть века много поменялось...
Пока разбираюсь - куда надо глядеть и как должен выглядеть проект, пока вопросов больше чем ответов.

1. Пока никто не интересовался моей лицензией и , предполагаю, что через месяц мне прилетит письмо счастья от Интела (получено по ссылочке,
любезно предоставленной DX168B)

2. Не нашёл графического редактора от которого я получал истинное наслаждение МАХе, или это следствие П.1?( типа купи лицензию и будет тебе счастье ),
а посему попросил бы образец лицензии и куда ее пихать Gudd-Htad ?
Если , конечно, это не противоречит Вашим моральным устоям и прочим
либеральным ценностям, за коими с 24 февраля с.г. так ратуют свалившия на запад , прости Господи, элитки

PS Кстати, Gudd-Htad, Вы же всё равно собирались что-то вроде статейки написать - есть повод, первый благодарный читатель уже точно есть.
СПЕШИТЕ, а то помру от возраста или нетерпёжа :))
Последний раз редактировалось Sumin Вт май 31, 2022 14:11:38, всего редактировалось 3 раз(а).

Re: Quartus 2x

Вт май 31, 2022 12:27:23

Sumin,
А что вы делаете на ПЛИС? Что это за класс устройств, где применяются ПЛИС?

Re: Quartus 2x

Вт май 31, 2022 13:41:19

Sumin,
А что вы делаете на ПЛИС?

Пока ничего, пока просто учусь после примерно 25 летнего перерыва, после MAX+PLUSII.

Что это за класс устройств, где применяются ПЛИС?

Логические автоматы с достаточно высокой (около 200мГц, тактовой частотой), высокой плотностью интеграции, низким потреблением.
В свое время мы тренировались на неразрушающем ультразвуковом контроле. Жаль , что сейчас уже ни жoпы не помню.

Вы не ловили кайф, когда разработка целого НИИ с его 6 этажами, завхозами, завлабами и уборщицами лежит у тебя на столе и РАБОТАЕТ
в виде маленького корпуса?
Прошу не путать МИКРОКАСТРЮЛЛЕРАМИ: - это тоже кайф , только мелкий

Re: Quartus 2x

Вт май 31, 2022 16:06:50

Sumin,
Понял, спасибо. Что же касается сделанных устройств, то я любитель и что-то мне подсказывает, что даже в случае с НИИ, делал там всё это ОДИН человек, а остальные - от уборщиц до директоров - просто получали там зарплаты.

Re: Quartus 2x

Вт май 31, 2022 20:47:42

electroget, профессионал и любитель - это достаточно условные категории .
Когда я был постинститутским инженером я чувствовал себя профессионалом.
Но меня окружили прекрасные люди, которые даже не задумывались о таких категориях: а просто в случае
пока я бегал за водкой ковырялись в моих косяках, делились мыслями и идеями. Сейчас я таковым себя не считаю,
потому что без них я никто и звать меня никак...

Re: Quartus 2x

Вт май 31, 2022 21:21:18

2. Не нашёл графического редактора от которого я получал истинное наслаждение МАХе, или это следствие П.1?( типа купи лицензию и будет тебе счастье )

Такой схематик, что ли? Он доступен везде.
Изображение

Re: Quartus 2x

Чт июн 02, 2022 10:05:03

Sumin, в этом Квартусе весь функционал опирается на файлы, включенные в проект. Если нужен графический редактор, значит нужно создать файл .bdf (Block Diagram\Schematic file). Если нужно задать правила временнОго анализа, значит создаем файл .sdc (Synopsys Design Constraints file). Если нужно на верилоге, то создаем файл .v (Verilog HDL file) и так далее. Не забудьте потом по файлу схемы в нафигаторе "Files" правой кнопкой выбрать "Set as top-level entity", чтобы указать, какой файл проекта является начальным или корневым.

Вообще, загляните на сайт Марсоход. Там хватает статей по этой тематике.
Только не путайте Quartus Prime с Quartus II. У Вас именно второй вариант (Quartus II).

Re: Quartus 2x

Пт июн 03, 2022 08:56:18

DX168B и Gudd-Head
Весьма признателен Вам за то, что направили в нужном мне направлении.
Ответить