Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

ULPI

Ср ноя 16, 2022 15:25:38

Доброго времени суток.

Уже несколько недель тщетно пытаюсь побороть ULPI. Вроде бы с самим протоколом разобрался и, если я правильно понимаю, моя проблема кроется либо в описании временных ограничений(в этом я не очень силен), либо в колхозности платы(сделано все на макетке, фото приложу ниже). Проблема имеется только с отправкой данных, поэтому далее речь пойдет только о трансмиттере.

Кратко опишу, как это работает. В модуле трансмиттера имеется 2 FIFO буфера. Один нужун для непосредственно данных(TXCMD в том числе), второй для количества передаваемых байт. Соответственно
1. Сначала детектируется то, что второй буфер не пуст
2. Считывается количество байт
3. Считывается первый байт
4. По сигналу NXT считываются следующие байты
5. По окончанию считывания генерируется STP
6. Из-за разности размера входной и выходной шины FIFO ненужные данные читаются в пустую

FPGA использую EP4CE6E22C8(Intel), трансивер FUSB2805, пишу на SystemVerilog.

Код модуля трансмиттера:


Код временных ограничений:



Фото платы трансивера:



P.S. Вроде бы всё описал. Слёзно прошу помощи.

Re: ULPI

Ср ноя 16, 2022 18:30:10

Изображение

Сурово :)
Проблема имеется только с отправкой данных

Т.е. данные принимаются нормально?

60 МГц для FIFO 4-го циклона вообще не частота.
Что есть из доступных средств отладки?

Re: ULPI

Ср ноя 16, 2022 19:43:15



Согласен, не самое лучшее исполнение. Но пока что какое есть. Заказал себе платку на usb3300, но приедет она недели через 2 в лучшем случае.

Gudd-Head писал(а):
Проблема имеется только с отправкой данных

Т.е. данные принимаются нормально?


Да, с приемом проблем никаких нет

Gudd-Head писал(а):Что есть из доступных средств отладки?


Есть такая плата с китайским usb blaster
http://piswords.com/alteraep4ce6e.html

Помимо этого есть осциллограф https://www.conrad.com/p/voltcraft-dso- ... cs-1589987
и логический анализатор https://www.perytech.com/Logic-Analyzer.htm

Re: ULPI

Ср ноя 16, 2022 19:49:52

Ну то есть есть, чем посмотреть времянку.
Временные соотношения сигналов соответствуют задуманным?

Re: ULPI

Ср ноя 16, 2022 20:27:43

Временные соотношения сигналов соответствуют задуманным?


Впринципе очень даже. Вот такое показывает осциллограф. Это сигнал STP, который по timing analyzer самый глючный (на нем слак хоть и положительный, но самый низкий)
Изображение

В signal tap был такой симптом еще, когда я еще не прописал констрэйны: данные, которые выходили из памяти и данные на выходных триггерах были разными, как будто на каких-то линиях сигнал слишком задержанный и не успел защелкнуться
Ответить