Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: WebPack от Xilinx

Пт дек 16, 2011 20:42:20

Да да, именно так все открылось :)) . Интерфейс (иконки) конечно по умолчанию стоят у меня. А в скаченом проекте с обучалки можно какое-либо наглядное моделирование провести? Вот я зашел в "поведенческую модель". Вот что он написал Process "Simulate Behavioral Model" completed successfully. Но там что-то необходимо запустить?

Re: WebPack от Xilinx

Пт дек 16, 2011 21:02:48

Пример, что Вы кинули - это часики полагаю? :)

Re: WebPack от Xilinx

Пт дек 16, 2011 21:08:48

Может так: behavioral simulation -> выделяем runfire.tbw, затем в панели Process -> Simulate behavioral model.
Если просто сделать двойной клик на .tbw то просто задаются управляющие для отлаживаемого модуля входные сигналы (то что там присутствуют выходные - ничего не значит).
Serhio писал(а):Пример, что Вы кинули - это часики полагаю? :)
Да, одни из. сейчас я размышляю какие новые забабахать. И вот в один кристалл не влазиет.

Re: WebPack от Xilinx

Пт дек 16, 2011 21:34:14

uldemir писал(а): затем в панели Process -> Simulate behavioral model.
.

в Process вообще нет Simulate behavioral model.
у меня версия 13.1

Re: WebPack от Xilinx

Пт дек 16, 2011 21:47:36

А, может из-за того что с 10-и или 11-й версии больше нет test work Bench. Там какой-то другой инструмент для симуляции. У мня-то еще 9.2i, хотя новый шел в комплекте с CoolrunnerII starter kit, но я его пока не ставил. Я вообще плохо перехожу на новое - только когда начинает поджимать. Были б кристаллы CoolrunnerII в Xilinx Foundation - сидел бы всё еще там.

Re: WebPack от Xilinx

Пт дек 16, 2011 22:18:07

Да я сам еще тот консерватор. Запустил пример (идут в проге) частотометра, запустил модель поведенческую - все идет))))

Re: WebPack от Xilinx

Пн апр 16, 2012 19:00:44

Как в этом чуде можно спроектировать что-нибудь под xcs05xl ? Каталог, вроде Spartanxl есть, а выбрать такой кристалл нигде? :(

Re: WebPack от Xilinx

Вт апр 17, 2012 09:19:05

Думаю, если тут нет,
Изображение
то невозможно и выбрать
Вложения
IDE.JPG
(58.78 KiB) Скачиваний: 1057

Re: WebPack от Xilinx

Вт апр 17, 2012 15:26:31

Тама нету :( . Причем в 9.2i есть Spartan2? а в 10-й версии и того уже нет. Нашел Xilinx Foundation, старый (я с ним начинал в схематике, но там не было Coolrunner и поэтому перешел на ISE WebPack), но там не могу разобраться как скормить VHDL исходник. Да и лицензии к нему на сайте больше не дают. Поэтому не могу понять, или я что-то делаю не верно, или не получается из-за лицензий. А жаль, есть выпаянный кристалл - хотелось попробовать...

Re: WebPack от Xilinx

Ср апр 18, 2012 18:21:10

Приветствую всех. Думаю вопрос мой стоит задать в этой теме. Мне данная среда разработки при проверке на синтаксис и прочих операциях выдает следующее: Environment variable 'TEMP' not defined or points at a non-existant directory or a non-vritable directory. Please define it to a directory location for temporary files. И любой процесс обваливается. Причём выдает через раз, а потому я запарился с этим воевать. Подскажите как от этого избавится, где нужно определить эту среду ТЕМП?

Re: WebPack от Xilinx

Ср апр 18, 2012 20:01:55

Видимо как - то так
Изображение
А потом там
Изображение
и разбираться чего ему в темпе надобно
Вложения
EV_2.JPG
(42.08 KiB) Скачиваний: 1000
EV_1.JPG
(20.58 KiB) Скачиваний: 992

Re: WebPack от Xilinx

Ср апр 18, 2012 21:54:02

В который раз вы меня выручили. Огромное спасибо.

Re: WebPack от Xilinx

Чт апр 19, 2012 16:28:12

Ан нет. Пару раз симуляция проходила нормально, а затем всё похерелось. Я уже и всю среду переустановил. После этого один раз симуляция прошла успешно, а во второй раз опять выдало тоже сообщение. Мужики, помогите, не знаю что делать. Всё уже перепробовал. Ничего не помогает. И даже на сайте Сайлинксов точного ответа не дают ((((

Re: WebPack от Xilinx

Чт апр 19, 2012 16:44:23

переустановить всю винду, заменить компьютер, страну проживания. Почитать event log, наконец. Похоже, это проблемы вашего компьютера, а не софта.

Re: WebPack от Xilinx

Чт апр 19, 2012 19:30:45

Может пути кириллицей?
Я так сразу после установки винды переменные прописал в другом месте и до вчерашнего вечера не вспоминал года 2 точно.

Re: WebPack от Xilinx

Чт апр 19, 2012 23:36:53

Нет, пути были не кириллицей. В общем пропарился я сутки - ничего не вышло. Кстати проблема эта вылезла на пару дней назад поставленной семёрке. К тому же поначалу всё работало норм. Сдаётся мне, вся эта муть началась из-за обновлений винды. Видать обновление чё-нить зацепило в путях винды. Ну вобщем поставил я ХР и всё норм работает. Когда времени будет поболее, надо будет поразбираться.

Re: WebPack от Xilinx

Чт ноя 22, 2012 17:01:46

Вот нашел такой учебник, правда на ангельском языке по VHDL: http://hep.uchicago.edu/~tangjian/SVT_s ... torial.pdf
Ответить