Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: Quartus и Modelsim

Чт сен 20, 2012 19:35:24

Все просто.
Изначально проект писался под ACEX и FLEX600, у которых нет PLL.
В циклоне мне их (всего 2 штуки PLL на борту) жалко тратить на деление для формирования частот под асинхронную передачу. И к тому же есть куда генерировать более высокие частоты (400, 100 и 133 МГц)

Re: Quartus и Modelsim

Чт сен 20, 2012 20:30:21

Т.е. те, которые вы щёчиками делаете, относительно не высокие частоты... Всё ясно, спасибо :)

Re: Quartus и Modelsim

Пт сен 21, 2012 08:02:26

Разрядность SPI 16 бит, такая особенность АЦП, который на нано-плате сидит.
Что касается PLL, то на 4-м циклоне их уже 4 штуки, и у каждого можно наделать кучу выходных сигналов (аж по 5 штук), ну и для обучения их тратить не жалко :)))

Re: Quartus и Modelsim

Пт сен 21, 2012 19:48:58

Уверен, что там не только 5 у каждой можно забацать, но и частоты относительно друг друга сдвинуть по фазе. На 3 -ем сыклоне, с которым я сейчас колупаюсь именно так (правда выходов у pll по 4). Вообще ща тайм квест пытаюсь захавать, но пока времени не хватает... Поиграйтесь ещё с такой приблудой как SignalTab архи полезная штука...

Re: Quartus и Modelsim

Вт сен 25, 2012 11:23:18

Кто-нибудь работал с AVR CORE отсюда? Скачал, накомпилировал кучку модулей, соединил ядро с ПЗУ и портомА, помигал светодиодом, все круто, но...
Интересует как там организованы векторы прерываний, в ядро входит 23 линии iqrlines и линия block_irq, а куда он прыгнет при прерывании я не нашел. И упоминание о командах CLI/SEI тоже не увидел :dont_know:
Судя по количеству портов для которых описаны адреса - это 128-я мега, но у нее 35 векторов...

Re: Quartus и Modelsim

Чт ноя 15, 2012 14:09:11

Вернулся опять к изучению ПЛИСок, собрал 51-е ядро из отдельных деталек с opencores, прицепил самодельный SPI, вроде работает (кроме некоторых инструкций). У меня под программную память использована встроенная память ПЛИС, можно как-то частично перекомпилировать проект если я меняю только HEX файл прошивки? Каждый раз при изменении программы компилировать весь проект задолбаешься, по 6 минут процесс идет :(

Re: Quartus и Modelsim

Чт ноя 15, 2012 19:53:08

Engineer_Keen писал(а):... можно как-то частично перекомпилировать проект если я меняю только HEX файл прошивки?

В Квартусе есть инкрементальная компиляция - позволяет более тонко работать с проектом. Правда работает лишь на полных версиях, на веб-эдишн опция выключена.
Engineer_Keen писал(а):Каждый раз при изменении программы компилировать весь проект задолбаешься, по 6 минут процесс идет

Время сильно зависит от объемов задействованной логики - у некоторых компилится по несколько часов. Если не секрет, сколько задействовано ресурсов в проекте?

Re: Quartus и Modelsim

Пт ноя 16, 2012 08:02:49

Meteor писал(а):В Квартусе есть инкрементальная компиляция - позволяет более тонко работать с проектом. Правда работает лишь на полных версиях, на веб-эдишн опция выключена.

Вот блин, я так и думал что нужна именно эта штука, а у меня как-раз веб-эдишн...
Meteor писал(а):Время сильно зависит от объемов задействованной логики - у некоторых компилится по несколько часов. Если не секрет, сколько задействовано ресурсов в проекте?

Не, ну это логично, никуда не деться... На проект ушло (пока) всего 2 300 LE, из них около 560 регистров, и еще 67500 бит встроенной памяти (256 как ОЗУ, 8К как программная).

Пришла мысль :idea: Сделать что-то типа загрузчика, уарт же работает, вот по нему и зашивать прошивку, только ПЗУ в ОЗУ переделать...

Re: Quartus и Modelsim

Пт ноя 16, 2012 20:11:16

Лечил 11 ква таблетками с рутреккера. Так что при желании можно и .... ну Вы поняли
Ответить